vcs compilation commands commonly used in filelist

1. + incdir +
+ incdir + Search directory for `include files.
It is convenient to specify a .v format library file in
2.-v filename
-v. VCS will find the module instantiated in the source code but not found in the source code in this file. Here, a file can contain many modules .
-v xxx / xxx / fifo.v in the filelist list means that there is only one module in the file, and the module name is fifo.
Direct reference to xxx / xxx / fifo.v in the filelist list has no restrictions on the module name in the file.
3. -y directory
-y specifies a library file directory. The file name and module name in the directory must be the same, and + libext + must be used to specify the file type. Here, only one module with the same name as the file name can be placed in the .v file. , VCS will come to this directory to find the module instantiated in the source code but not found in the source code.
4. + libext +
+ libext + .v + .vg + .sv Specify the file type.
5. -f filename
specifies the file type as .f.

Published 38 original articles · Like 29 · Visits 10,000+

Guess you like

Origin blog.csdn.net/weixin_45270982/article/details/104000164