wordpress php xdebug调试

环境配置

xdebug安装:

	https://xdebug.org/download.php
	按照自己的php版本下载相应的版本。不要带TS的版本
	对于win版本的下载下来会是一个dll文件,下载完成后改名‘php_xdebug.dll’
	放到php的ext文件夹里,我自己的是D:\php_development\php-5.6.39\ext
	测试方法:重启一下php-cgi.exe,然后页面phpinfo()里搜索是否有
	xdebug的配置,如果有了,那就代表安装成功了。

php.ini设置:

	在php配置文件php.ini中,搜索; Module Settings ;,在下面添加
	zend_extension ="D:\php_development\php-5.6.39\ext\php_xdebug.dll"
	上面的路径是php_xdebug.dll的绝对路径,也可以是相对路径
	xdebug.remote_enable = on
	xdebug.profiler_enable = off
	xdebug.profiler_enable_trigger = off
	xdebug.profiler_output_name = cachegrind.out.%t.%p
	xdebug.profiler_output_dir ="D:\php_development\tmp"
	上面路径是输出的路径
	xdebug.show_local_vars=0
	xdebug.remote_port=9001
	该端口比较重要,要和php设置里Debug那里的端口对应好。
	由于php-cgi.exe已经占用了9000,所以要改成9001。才能调试
	xdebug.idekey="PHPSTORM"

phpstorm设置:

	![在这里插入图片描述](https://img-blog.csdnimg.cn/2019010111212226.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3dlaXhpbl80MzY1MTY3NA==,size_16,color_FFFFFF,t_70)
	点击Validate进行验证(下面是成功的)
	![在这里插入图片描述](https://img-blog.csdnimg.cn/20190101112350244.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3dlaXhpbl80MzY1MTY3NA==,size_16,color_FFFFFF,t_70)
	![在这里插入图片描述](https://img-blog.csdnimg.cn/20190101112404512.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3dlaXhpbl80MzY1MTY3NA==,size_16,color_FFFFFF,t_70)
	![在这里插入图片描述](https://img-blog.csdnimg.cn/20190101112420518.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3dlaXhpbl80MzY1MTY3NA==,size_16,color_FFFFFF,t_70)
	记住千万不要勾use path mapping
	然后回到phpstorm编辑框
	![在这里插入图片描述](https://img-blog.csdnimg.cn/20190101112523593.png)
	![在这里插入图片描述](https://img-blog.csdnimg.cn/20190101112545520.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3dlaXhpbl80MzY1MTY3NA==,size_16,color_FFFFFF,t_70)
	然后把要调试的代码放到目录下,即可调试

猜你喜欢

转载自blog.csdn.net/weixin_43651674/article/details/85528016