安信可PB-03蓝牙模组入门之旅一:Windows SDK二次开发入门环境搭建,以及固件烧录。

在这里插入图片描述


  • 本系列博客学习由非官方人员 半颗心脏 潜心所力所写,仅仅做个人技术交流分享,不做任何商业用途。如有不对之处,请留言,本人及时更改。

1、 安信可PB-03蓝牙模组入门之旅一:Windows SDK二次开发入门环境搭建,以及固件烧录。
2、 安信可PB-03蓝牙模组入门之旅 二:SDK二次开发入门,认识架构,开始点亮一盏LED。

一、环境搭建和下载

PB-03系列简介

    博主手头上的 PB-03 是由安信可开发的蓝牙模块,该模块核心处理器芯片PHY6252(SSOP24),支持蓝牙BLE 5.2。

    模组内置 64 KB SRAM,256KB flash,96 KB ROM,256bit efuse。价格也是真的便宜,适合一些小应用的透传模式和SoC方案开发。

PB-03环境搭建和编译

因为 安信可 PB-03 模组的芯片是 M0 核,二次开发需安装 MDK Keil5 for ARM 开发环境,和STM32类似。

个人维护的仓库源码地址: https://github.com/xuhongv/PHY6252_6222_SDK.git

建议使用git进行拉取源码,或者您可以去官方拉取最新的 点击下载

git clone https://github.com/xuhongv/PHY6252_6222_SDK.git

找到 example/peripheral/gpio 的 gpio.uvprojx ,打开,然后编译。

在这里插入图片描述
编译之后,在 example\peripheral\gpio\bin 文件夹下面生成一个 hex 文件,打开 PhyPlusKit.exe 下载软件。

PhyPlusKit.exe 安信可官方下载链接: https://docs.ai-thinker.com/_media/phypluskit_v2.5.2b.zip

步骤

1、选择 HEX_Merge 选项卡,BOOT 文件选择 example\OTA\OTA_internal_flash\bin\ota.hex
2、选择 HEX_Merge 选项卡,APP 文件选择刚编译的文件 example\peripheral\gpio\bin\gpio_demo.hex
3、选择 Single No FCT 选项。
4、打开串口设置,选择正确的端口号,波特率选择 UXTDWU,这时候当 PhyPlusKit.exe的日志窗口会不断打印UART TX ASCII: UXTDWU
5、由于模组没有硬件复位引脚,所以需要手动复位上电,开发板直接按一下RST按键,如果是单模组则重新上电。
6、当PhyPlusKit.exe的日志窗口出现 UART RX: cmd>>:,表示模组已成功进去了下载模式。
7、先点击一下 Erase 按钮先擦除一下,然后再点击 write 按钮开始烧录,等待烧录完毕。

操作示意动态图如下:

在这里插入图片描述
打开串口工具,波特率 115200 ,重复位,看见信息打印:

SDK Version ID 00030101 
rfClk 0 rcClk 1 sysClk 2 tpCap[2b 23]
sizeof(struct ll_pkt_desc) = 8, buf size = 3484
sizeof(g_pConnectionBuffer) = 3484, sizeof(pConnContext) = 644, sizeof(largeHeap)=4096 
gpio key demo start...

下个章节介绍SDK的框架使用,欢迎关注。


另外,不要把我的博客作为学习标准,我的只是笔记,难有疏忽之处,如果有,请指出来,也欢迎留言哈!

  • 玩转无线物联网带你飞、免费加千人群讨论,群里众多国内ESP开发者,找到你一份归属,免费白嫖。
  • QQ讨论一群,点击加群:434878850
  • QQ讨论二群,点击加群:623325168
  • 个人微信公众号:徐宏blog , 不定时推送干货文章,不推送任何广告。
  • 个人邮箱:[email protected] 24小时在线,有发必回复!
  • phy6252 蓝牙Mesh学习demo汇总:https://github.com/xuhongv/PHY6252_6222_SDK
  • esp8266源代码学习汇总(持续更新,欢迎star):https://github.com/xuhongv/StudyInEsp8266
  • esp32源代码学习汇总(持续更新,欢迎star):https://github.com/xuhongv/StudyInEsp32

猜你喜欢

转载自blog.csdn.net/xh870189248/article/details/124706505