+ DフリップフロップとNANDゲート

手順:

エンティティdおよびです

港(

A、B、CLK:ビットで、

Q:アウトビット

);

終わり ;

dおよびISのアーキテクチャBHV

信号TEMP:ビット。

ベギン

温度<= NAND型B。

プロセス(CLK) - プロセス文の内部を順次実行するが、全体としてのプロセス、および外部プロセス他のステートメントが同時に実行されているが。

ベギン

clk'eventおよびCLKなら= '1' は、Q <= TEMP。

場合は終了。

終了プロセス;

エンドBHV。

 

おすすめ

転載: www.cnblogs.com/lhkhhk/p/11837481.html