VHDL記述されたデジタルディスプレイを使用します

デジタル表示

 

 デジタル表示原理:

 

 手順:

ライブラリのIEEE;

ieee.std_logic_1164.allを使用します。

ieee.std_logic_unsigned.allを使用します。

エンティティSMGがあります

港(

:STD_LOGIC_VECTORで(0とdownto 3)

Y:アウトSTD_LOGIC_VECTOR(6 0とdownto)

);

終わり;

SMGのアーキテクチャBHVであります

ベギン

工程(A)

ベギン 

そうです

場合 "0000" => Y <= "0111111"; - 0

場合 "0001" => Y <= "0000110"; - 1

場合 "0010" => Y <= "1011011"; - 2

場合 "0011" => Y <= "1001111"; - 3

場合 "0100" => Y <= "1100110"; - 4

場合 "0101" => Y <= "1101101"; - 5

場合「0110' => Y <= "1111101"; - 6

場合 "0111" => Y <= "0000111"; - 7

場合 "1000" => Y <= "1111111"; - 8

場合 "1001" => Y <= "1101111" - 9

とき他の人=> Y <= "0000000" - 灭

エンドケース。

終了プロセス;

エンドBHV。

回路図:

 

 デジタルシミュレーション図:

 

おすすめ

転載: www.cnblogs.com/lhkhhk/p/11828928.html
おすすめ