FSDB波形生成コマンドファイル

fsdbDumplimit - FSDBファイルサイズ制限

- $ fsdbDumpvars([<レベル>]、<スコープ|信号> *)
fsdbDumpfile - FSDBファイル名を指定します。

- $ fsdbDumpfile( "<FSDB名>")
fsdbDumpvars -指定された変数をダンプ

- fsdbDumpSingle -所定の信号ダンプ
VHDL変数指定されたダンプ- fsdbDumpvariable
fsdbSwitchDumpFile -ダンプは別のファイルFSDBに切り替わり

- $ fsdbSwitchDumpFile(「<新しい新しいFSDB名>」)
fsdbAutoSwitchDumpfile -ファイルのサイズを制限し、自動的にデータのオーバーロードの新しいFSDBファイルを作成します

- $ fsdbAutoSwitchDumpfile(<ファイルサイズ>、「<FSDB名>」、<ファイル数>)
fsdbDumpflush -フォースファイルFSDBに結果ダンプする
fsdbDumpMemを-的指定的メモリダンプ内容

- $ fsdbDumpMem(<REG名>、[<スタートADDR>、[<サイズ>]])
$ fsdbDumpon -打开FSDBダンピング
$ fsdbDumpoffを-关闭FSDBダンピング
                                    

 

おすすめ

転載: www.cnblogs.com/ww415/p/11572016.html