共同利用のModelSimとのQuartus II

EDITORIAL言葉

  ここでは、翼の兄弟は特別に強調したい夢はModelSimソフトウェアを、そして最後に、このソフトウェアは何をするのですか?ここでは、私が質問して、我々はハードウェアボードデザインの作品が完成されているとし、その後、我々はそれを行う方法に必要な、機能が正常であるかわかりませんか答えは、単純な問題である私たちが呼んで、出力と入力刺激の対応が正しければ、出力のどのようなボードを観察し、特定の入力励起試験後の電気回路基板に、「テスト」回路基板の説明は、回路基板に問題がある場合は、この方法では、我々はどの部分ようやく問題を決定することができ、逆に、問題ありません。

     テストハードウェアボードので、私たちは自然に同じ理由コードのロジックをテストします。私たちは、コードが書き込まれた後、あなたは、FPGAチップ内のハードウェア回路ブロックと同等を達成することができ、ハードウェア記述言語を書いて、その後、回路ブロックは、それの我々の期待に応じて働くことができるのですか?我々はまた、彼がテストする必要があります。もちろん、ここでのテストは電線の山に言及されていない、テストを行うための密なネットワークを形成し、その場合に一緒に接続されている電力線は、上級諜報翼の夢に、推定長い乾燥はそれに行っていません!

     今主流の電子設計自動化はそうではありませんか?ModelSimが、私達はちょうど私たちは簡単に我々のコードのロジックのすべてを見ることができ、表示窓インターフェイスとソフトウェアを使用して、あなたは実環境での入力複素信号の様々なシミュレートすることができ、簡単なプログラミングする必要がソフトウェアのような素晴らしい作品です信号線のレベルの変化は、私たちは問題を迅速に見つけることができます。 

関連して使用されるのQuartus IIとModelsin

     前のセクションの手順に従えば、我々は必要なソフトウェア開発ツールQuartusII 15.0インストールされている  とシミュレーションツールはModelSimを。しかし、上のこれらの2つのツールは、どのようにそれを使用するには?このセクションでは、非常にシンプルなカウンタープロジェクトを通じて夢の翼の兄弟は、あなたが一般的に2つのツールを使用して教えるために。

     まず、新しいフォルダを作成して、意味のある英単語(注:ファイルが文字や中国語の文字を使用できませんパス)の名前

       その後、フォルダを閉じて、デスクトップ上のQuartusソフトウェアを開くにはダブルクリックします

クリックして新しいプロジェクトを作成するために、ファイル- >新規プロジェクトウィザードのオプションを、次のインターフェイス

クリックして[次へ]

 

その後、プロジェクトは次のパスを選択

 

次のように名前のプロジェクトに(推奨:最高のプロジェクト名およびフォルダ名一貫した)

 

[次へ]をクリックしてください

[次へ]をクリックしてください

 

 

 このインタフェースの上に私たちは何も書かれた文書を持っていないので、私たちは既存のファイルが書き込まれた追加することができますので、この手順を無視し、上をクリックすることで、[次へ]

現在のインターフェイスの下で、我々は、彼らが次のように1が選択されているチップ、夢の翼の兄弟を使用している特定のモデルを選択することができます。

 

[次へ]をクリックしてください

 

シミュレーションツールと言語を選択し、以下のようにしています

[次へ]をクリックして、当社のエンジニアリングレポートを設定して表示されます

 

 

 設立プロジェクトを完了するために終了するには[完了]をクリックしてください

 

以上の工程を経て、新しいプロジェクトが構築されているコードを入力したい場合は、その後、我々は、テキストエディタを作成する必要があります。

[ファイル] - > [新規]をクリックして  次のダイアログボックスが表示されます

 

クリックし、[ VerilogのHDLファイルを、]作成を選択した後、Verilogのテキストエディタをクリックし、[OK]

次に、我々はこの白い編集インターフェースで我々のコードを入力することができます

コーディングが完了した後、私たちは、それをコンパイルし、構文エラーをチェックする必要があります。ショートカットは、二つのコンパイル[ CTRL + L ]及び[ CTRL + Kを]。[ Ctrlキー+ Lは]コンパイル全体を指し、我々が開発ボードにコードをダウンロードする必要がある場合もレイアウトは、特定の回路のネットリストにコードをマッピングし、ダウンロードする前に行わなければならない一方で、ソフトウェアは、コードの構文をチェックだけでなく、フルコンパイルは、全体の時間が比較的長いコンパイルします。[ Ctrlキー+ Kは]平均コンパイラは、単に構文エラーをチェックすることを意味し、コンパイラが高速です。ここでは、夢のクリックウイング兄弟[ CTRL + L]、以下の結果をコンパイルした後:

インターフェースは図資源レポートに示されている、コンパイラの終了後、ソフトウェアは、ユーザーに内部チップリソースの使用を教えてくれますバーは、底面側に促しレポート「EDAネットリスト・ライターは成功した」、と赤のエラーレポートが我々のコードが構文的に正しいことを示して与えることはありませんでした。

ただ、我々は唯一、文法は問題ありません、それがその後、ロジックをコンパイルすることができ、言いましたか?私たちは、機能を実現することができますしたいですか?これは、すべての後に、ソフトウェアは、私たちの究極の目標を知らない、知られていません。したがって、我々は別のツールに頼らなければならない、はModelSimシミュレーション固有のロジック波形正しいです参照してください。

それでは、どのようにそれをシミュレートすることができますか?シミュレートされた我々は、我々は通常、回路基板をテストするプロセスとして理解することができる、それはいくつかの出力とどのようなプロセスを持っているかを観察しなければならないテスト中のユニットに入力されます。そのため、コールはModelSimの前には、我々は、モジュールの入力テスト刺激をテストするために、テスト刺激を記述する必要があります。次のように今、テストコードを書きます:

テストコードの準備が完了した後、我々は最初のいくつかのソフトウェアの設定が必要です。示されているように、次の図にプロジェクト名「カウンター」を右クリックし、

選択して「設定」を、次のインターフェイス

 

「テストベンチをコンパイル」を選択しクリックしてください

クリックして[テスト明確なベンチマーク...]

 

 

 

[新規]をクリックしてください

 

 次のようにテスト・モジュールのファイル名を入力してください

「ファイル名」には、この措置をクリックして[...]

 

 選択 tb.vファイル

 

 

[開く]をクリックします

 

クリックして[追加]

 

 

 

 

[OK]をクリックしてください

 

 

 

[OK]をクリックしてください

[クリックして、設定を終了するにはOKを]。以上の工程を経て、ModelSimのとのQuartusは、セットアップが完了したカスケード接続しました。戻り値は次のようのQuartusインターフェースです

選択して [ツール] - > [ファイル名を指定して実行シミュレーション工具類- > RTLシミュレーション

以下のクリック後に表示される ModelSimのインターフェイスを

 

クリックしてオフ電流の波を停止するにはストップボタンを

次いで、[CTRL + A]信号のすべてを選択します

キーボード上のキーDELET、すべての波形を削除します

「シム」でカウンタを選択し、ツールバー上で右クリック

次を選択し、[(ウェーブ追加] :この方法では、我々は、波形の入力と出力変数と内部変数のすべてを見ることができます注

クリックして「トグル葉名< - >フルネーム」

自動グルーピングを実現するために[CTRL + G]をクリック

選択した信号の右の名前

[基数]オプションで符号なしのデータ型を選択し、表示バイナリ信号を変更(注:必要に応じて他のディスプレイの六角を選択することができます

[クリックして波形を保存するには、Ctrl + S]を

保存を確認するために、[OK]をクリックしてください

その後、コマンドウィンドウで restartコマンドを入力するトランスクリプト)

クリックして、次のダイアログボックスを確認するために「キーを入力します」

 

「キーを入力します」をクリックして続行

 

次に、コマンドウィンドウで「実行0.1ms」(注:特定の時間をカスタマイズすることができます

クリックして続行を確認するために「Enterキー」

 

マウスクリックでWAVEインタフェース

減少または波形上のツールバーで拡大することができ、波形が任意の位置で見ることができます

以上の処理により、私たちは完成のQuartus ModelSimの共同設計および試運転プロセスを、私たちはこの操作の後に学ぶには、ここで夢の翼兄弟に基づいていますが、良いソフトウェアの基本的な使い方をマスターするために、アクティブ実際に従事しなければならないことを示唆しています。

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

おすすめ

転載: www.cnblogs.com/mengyi1989/p/11514798.html