LL-verilog语法-generate语句

使用verilog例化多个模块时,要用generate结合for语句使用
模板:

generate
genvar i
for(i=0;i<N;i=i+1)
begin: name1
例化模块语句;
end
endgenerate

おすすめ

転載: blog.csdn.net/QQ_778132974/article/details/119248460