[UVM] UVMレジスタ定義

                               UVM登録定義

 

       UVMレジスタライブラリは、定義はそれで宣言されています。これらは、ユーザが、これらの定義を上書きすることができ、RALモデルベース・クラスで使用されています。

一、uvm_reg_definesは、

  • `UVM_REG_ADDR_WIDTH
  • `UVM_REG_DATA_WIDTH
  • `UVM_REG_BYTENABLE_WIDTH
  • `UVM_REG_CVR_WIDTH

1、UVM_REG_ADDR_WIDTH

  • ビットの最大アドレス幅
    デフォルト値は64であります
  • uvm_reg_addr_tタイプを定義するために使用

2、UVM_REG_DATA_WIDTH

  • ビットの最大データ幅
    のデフォルト値は64であります
  • uvm_reg_data_tタイプを定義するために使用

3、UVM_REG_BYTENABLE_WIDTH

  • バイトの最大数は、ビットを有効にします
  • デフォルト値はUVM_REG_DATA_WIDTH `でバイトあたり1であります
  • 定義するために使用
    uvm_reg_byte_en_tタイプを

4、UVM_REG_CVR_WIDTH

  • uvm_reg_cvr_tカバレッジモデルセット内のビットの最大数
  • デフォルト値は32です

 

 

彼は185元の記事を発表 ウォンの賞賛118 ビュー40000 +

おすすめ

転載: blog.csdn.net/gsjthxy/article/details/105298850