ボーレートジェネレータプログラム89c52についてT2

長い時間のために長い時間を何よりも適切なコード咀嚼のためのインターネットやマニュアルや先輩の先生に尋ねた(シニア先生ありがとうございました、このような簡単な質問をして私を気にしないが、今、それはあまりにも恥ずかしいと感じたのだと思う)、そして最後にボーレートが主であることを書きますSuanlaisuanqu右側がついに忘れてしまいます!(そうで触れました)

ここでは9600ボー12MHzの水晶は、補佐官が書いたシリアルポートを介してです

#include "reg52.h"	 
T2MOD = 0XC9 SFR; 
unsigned char型コマンド= 0x00で、
空T2_Init()
{ 
	T2MOD | = 0X02; 
	T2CON = 0x34の。
	TH2 = RCAP2H = 0XFF。
	TL2 = RCAP2L = 0XD9。
	SCON = 0x50を; 
	TR2 = 1。
	ES = 1。
	EA = 1。
	} 

ボイドSend_Byte(unsigned char型のDAT)
{ 
	SBUF = DAT。
	(TI == 0)、一方、
	TI = 0; 
	} 

ボイドが受信()割り込み4 
{ 
   IF(RI == 1)
   { 
    RI = 0。
   	コマンド= SBUF; 
	Send_Byte(コマンド+ 1)。
   		} 
	
	} 
ボイドメイン()
{ 
	T2_Init()。
	Send_Byte(0×03)。
	Send_Byte(0×04)。
	(1)一方、
	}

  注データを受信するために、コールドスタート後の再燃焼に覚えています

ここで代用11059200 Iとして11.0592mhzも少ない間違ったM上の計算式を(手動チップによって)取り付けられるボーレート

 

おすすめ

転載: www.cnblogs.com/lulu-gogogo/p/12551534.html