VHDL四选一数据选择器和基本触发器的设计

主要内容:
本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现。

  1. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出。
  2. 基本触发器应该具备的功能:具有两个能自行保持的稳定状态,用来表示逻辑“1”和“0”。在不同的输入信号作用下其输出可以置成1态和0态,且当输入信号消失后,触发器获得的新状态能保持下来。

摘 要 系统基于数据选择器及D触发器,JK触发器,T触发器的原理,使用EDA技术在FPGA中设计了四选一数据选择器和基本触发器,采用硬件描述语言VHDL按模块化方式进行设计,然后进行编程,时序仿真等。在数据选择器中,用拨码开关作四位数据及两位控制端的输入,LED作输出,通过拨码开关组成控制输入端s1和s0不同组合,观察LED与数据输入端a,b,c,d的关系,验证4选一数据选择器设计的正确性,通过VHDL语言实现了本设计的控制功能,按不同的键实现不同的功能,根据数据选择器的特性方程设计输出状态。在基本触发器中通过VHDL语言实现了本设计的控制功能,按不同的键实现不同的功能,根据三钟触发器的特性方程设计输出状态。整个系统结构简单,使用方便,值得推广使用。

本设计包括课设报告和代码,截图如下:
在这里插入图片描述

Supongo que te gusta

Origin blog.csdn.net/QQ_778132974/article/details/117389746
Recomendado
Clasificación