uvm_sequence_port Introducción

Típicamente implementado utilizando entorno mecanismo de verificación de la transmisión de excitación uvm_sequence. Generada por el uvm_sequence excitación, enviado a uvm_driver, uvm_driver de generación de RSP, a través de la retroalimentación a uvm_sequencer uvm_sequence.
Este mecanismo es en un lugar crítico y uvm_driver uvm_sequencer conectado, que son implementadas por uvm_sequence_port. Uvm_driver generalmente en el seq_item_port y uvm_sequencer seq_item_export conectado.
fuente uvm_seq_port como sigue:
Aquí Insertar imagen Descripción
Aquí Insertar imagen Descripción
Aquí Insertar imagen Descripción
desde el puerto vista definición 3, el puerto y la exportación solamente dos parámetros para definir req y el tipo RSP, además de la req imp y RSP dos parámetros, no es un parámetro de la IMP , IMP generalmente crea una instancia del uvm_seq_item_pull_imp uvm_component.
UVM_SEQ_PORT macro, UVM_EXPORT_COMMON UVM_IMP_COMMON y se utiliza para definir este tipo de puerto, lo que indica que pertenece al puerto, exportación, Imp.
de una clase se puede ver que los tres llamada macro uvm_seq_item_pull_imp, diferente el puerto de exportación y el primer parámetro es m_if, m_if es tipo uvm_port_base, parámetros aprobada en tipo, si es uvm_sqr_if_base; uvm_sqr_if_base define las funciones que get_next_item, item_done similares.
Aquí Insertar imagen Descripción
uvm_seq_item_pull_imp macro definición dice lo siguiente:
Aquí Insertar imagen DescripciónComo puede verse en la pull_imp definición que la tarea get_next_item definido llamada entrante es realmente en get_next_item imp, es posible conocer la tarea debe ser definida dentro de las realizaciones anteriormente descritas del componente uvm_seq_item_pull_imp.
De hecho, la llamada se resolvió finalmente uvm_driver la get_next_item a uvm_sequencer a continuación, la forma en que están unidos entre sí dentro de ella? Definición única uvm_seq_item_pull_port punto de vista, que debe ser llamado en m_if.
Debemos saber uvm_seq_item_pull_port y uvm_seq_item_pull_imp asociada, por la función de conexión. Resolve_bindings definidos función uvm_port_base, la fase end_of_elaboration lineal llamada de función, el IMP uvm_seq_item_pull_imp los parámetros asignados a la uvm_seq_item_pull_port m_if asocia tanto completado, logrando así el objeto uvm_driver get_next_item get_next_item en el uvm_sequencer llamada.

Publicado 22 artículos originales · ganado elogios 3 · Vistas 761

Supongo que te gusta

Origin blog.csdn.net/weixin_39662684/article/details/104770637
Recomendado
Clasificación