[Los SVA] operadores de repetición operador Follow repetición y discontinuos

property goto_repetition_p;
  @(posedge clk) $rose(a) |-> b[->3] ##1 c;
endproperty

Siga operador de repetición (->)

  • Que coincide con el número de veces especificado expresión especificados, pero no necesariamente coincide con los sucesivos ciclos de reloj.
  • La expresión de la última coincidencia debe tener lugar antes del final del ciclo de reloj toda la coincidencia de secuencia .

    Para el ejemplo anterior, la señal final B debe seguir una secuencia bc repetir. Si la última repetición! Bc, la afirmación falla.

    Ejemplo por:

         ! A A! B! B B! B! B b! B b c

    Ejemplos de fallos

         ! A A! B! B B! B! B b! B b! B c


Discontinua operador repetida (=)

property goto_repetition_p;
  @(posedge clk) $rose(a) |-> b[=3] ##1 c;
endproperty

La expresión de la última coincidencia debe tener lugar antes del final del ciclo de reloj toda la coincidencia de secuencia . Esto no es necesario que se reúnen

 

Ejemplo por

    ! A A! B! B B! B! B b! B b! B c

 


en todo 

property goto_repetition_p;
  @(posedge clk) $rose(a) |-> (b throughout (!c[->1]));
endproperty

  Cuando se detecta el flanco ascendente de una señal, la señal de detección b debe ser alta continua hasta que la señal c pasa a nivel bajo.

 

link:  Sistema Verilog Las afirmaciones simplificado

Publicado 89 artículos originales · ganado elogios 17 · Vistas a 40000 +

Supongo que te gusta

Origin blog.csdn.net/lbt_dvshare/article/details/103306377
Recomendado
Clasificación