systemverilog中位向量系统函数---$countbits/$countones/$onehot/$onehot0/$isunknown

systemverilog中位向量系统函数有如下五个:

1、$countbits ( expression , control_bit { , control_bit }
此函数$countbits()的作用是计算位向量中指定的0 1 x z的数量;返回值位一个整数,这个整数就是指定的control_bit的数量。
例如:
$conutbits(expression, '1),返回表达式中1的数量;
$countbits(expression, '0, '1),返回表达式中0和1的数量;
$countbits(expression, 'x, 'z),返回表达式中x和z的数量;
使用上述函数的注意点:control_bit参数的参数类型是1位逻辑,表示在vector中计算的一个值。如果传入一个宽度大于1的值,则只使用LSB。如果任何单独的值在控制位中出现了不止一次,那么它将被完全视为出现过一次。
$countbis()表达式参数应该是位流类型。为了计算返回值,这个参数被当作被赋值为{>>{expression}}一个相同大小的向量。

为了方便使用,提供以下相关函数。
2、$countones ( expression )
此函数$countones()的作用是计算位向量中指定的1的数量,等价于$conutbits(expression, '1)。返回值位一个整数,这个整数就是指定1的数量。

3、$onehot ( expression )
$onehot ( expression ) 如果$countbits(expression,'1)==1, 返回真true(1’b1) ,否则返回 false (1’b0)。

4、$onehot0 ( expression )
$onehot0 ( expression ) 如果$countbits(expression,'1)<=1, 返回真true(1’b1) ,否则返回 false (1’b0)。

5、$isunknown ( expression )
$isunknown ( expression ) 如果$countbits(expression,'x,'z)!=0,返回true (1’b1) ,否则返回 false (1’b0)。

上述每个函数的表达式参数遵循与表达式$conutbits参数相同的规则。$conutbits的返回类型是int。其他的函数返回类型是bit。

Guess you like

Origin blog.csdn.net/Michael177/article/details/120937977