Ubuntu下VCS软件安装结果的一个测试

一、修改GCC版本

gcc版本需要降到4.8。

apt-get install gcc-4.8
update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.8 80
update-alternatives --config gcc

在这里插入图片描述
没错,我也试了试4.7版本的。

二、源文件

测试的目的是验证VCS软件能否正常工作,被测试文件如下:

module testbench();

reg clk;
reg rst_n;
reg data_in;
wire data_out;

initial begin
   clk = 1 ;
   forever #5 clk = ~clk;
end
initial begin
   rst_n = 0;
   #20 rst_n = 1;
end

initial begin
   data_in = 0;
   #40 data_in = 1;
   #100 data_in = 0;
   #10 data_in = 1;
   #20 data_in = 0;
   #10 data_in = 1;
end

initial 
#1000 $finish; 

rising_edge rising_edge_u0(
.clk(clk),
.rst_n(rst_n),
.data_in(data_in),
.data_out(data_out)
    ); 
endmodule
module rising_edge(
input clk,
input rst_n,
input data_in,
output data_out
    );
    reg data0;
    reg data1;
    wire rising_sign;
    always@(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        data0 <= 1'b0;
        data1 <= 1'b1;
    end else begin
        data0 <= data_in;
        data1 <= data0;
    end
    end
assign data_out = !data1 & data0;
 
endmodule

三、测试

在终端执行:

vcs -full64 -V -R testbench.v rising_edge.v -o simv -gui -debug_pp

提示有错误:

collect2: error: ld returned 1 exit status
Makefile:104: recipe for target 'product_timestamp' failed
make: *** [product_timestamp] Error 1

执行:

vcs -full64 -LDFLAGS -Wl,-no-as-needed -V -R testbench.v rising_edge.v -o simv -gui -debug_pp

在这里插入图片描述

猜你喜欢

转载自blog.csdn.net/Chi_Hong/article/details/86361063
今日推荐