多向通信 (较重要)

目录

一、概述(应用场景也比较多)

二、示例 


一、概述(应用场景也比较多)

  • 多向通信这种方式服务的仍然是两个组件之间的通信,而不是多个组件之间的通信,毕竟多个组件的通信r仍然可以由基础的两个组件的通信方式来构建。
  • 多向通信指的是,如果initiator与target之间的相同TLM端口数目超过一个时的处理解决办法。

 comp1有两个uvm_blocking_put_port,而comp2有两个uvm_blocking_put_imp端口。对于端口例化可以给不同名字,连接也可以通过不同名字来索引,但问题在于comp2中需要实现两个task put(itrans t),又因为不同端口之间要求在imp端口一侧实现专属方法,这就造成了方法命名冲突,即无法在comp2中定义两个同名的put任务。

UVM通过端口宏声明方式来解决这一问题,它解决问题的核心在于让不同端口对应不同名的任务。UVM为解决多向通信问题的宏按照端口名的命名方式分为:

注:_decl  : declaration声明

      (SFX) : 表示后缀名称

二、示例 


`uvm_blocking_put_imp_decl(_p1)  //宏声明:定义第一个独一无二端口
`uvm_blocking_put_imp_decl(_p2)  //宏声明:第一第二个独一无二端口
class comp1 extends uvm_component;
	uvm_blocking_put_port #(itrans) bp_port1;
	uvm_blocking_put_port #(itrans) bp_port2;
	`uvm_component_utils(comp1)
	...
	task run_phase(uvm_phase phase);
		itrans itr1, itr2;
		int trans_num = 2;
		fork
			for(int i = 0; i < trans_num; i++) begin
				itr1 = new("itr1", this);
				itr1.id = i;
				itr1.data = 'h10 + i;
				this.bp_port1.put(itr1);
			end
			for(int j = 0; j < trans_num; j++) begin
				itr2 = new("itr2", this);
				itr2.id = i;
				itr2.data = 'h10 + i;
				this.bp_port2.put(itr2);
			end
		join
	endtask
endclass

class comp2 extends uvm_component;
	uvm_blocking_put_imp_p1 #(itrans, comp2) bt_imp_p1;
	uvm_blocking_put_imp_p2 #(itrans, comp2) bt_imp_p2;
	itrans itr_q[$];
	semaphore key;
	`uvm_component_utils(comp2)
	...
	task put_p1(itrans t);
		key.get();
		itr_q.push_back(t);
		`uvm_info("PUTP1", $sformatf("get otrans id: 'h%0x, data: 'h%0x", t.id, t.data), UVM_LOW)
		key.put();
	endtask
	task put_p2(itrans t);
		key.get();
		itr_q.push_back(t);
		`uvm_info("PUTP2", $sformatf("get otrans id: 'h%0x, data: 'h%0x", t.id, t.data), UVM_LOW)
		key.put();
	endtask
endclass

class env1 extends uvm_env;
	comp1 c1;
	comp2 c2;
	`uvm_component_utils(env1)
	...
	function void build_phase(uvm_phase phase);
		super.build_phase(phase);
		c1 = comp1::type_id::create("c1", this);
		c2 = comp2::type_id::create("c2", this);
	endfunction
	function void connect_phase(uvm_phase phase);
		super.connect_phase(phase);
		c1.bt_port1.connect(c2.bt_imp_p1);
		c1.bt_port2.connect(c2.bt_imp_p2);
	endfunction
endclass

猜你喜欢

转载自blog.csdn.net/Arvin_ing/article/details/127709841