【网络数据传输】基于FPGA的百兆网/兆网千UDP数据包收发系统开发,PC到FPGA

1.软件版本

vivado2019.2

2.系统原理

先将电脑的IP地址设置为: 192.168.0.3。如下所示:

修改后,cmd中运行如下指令

 可以查看PC的IP和MAC

然后到windows>system32>cmd.exe,右击,以管理员进行运行,然后进行PC和FPGA的mac地址的绑定:

猜你喜欢

转载自blog.csdn.net/ccsss22/article/details/125589852