9 复位设计

一:异步复位与同步复位

  • FPGA中常见的复位方式即异步复位与同步复位。
  • 深入亚稳态这概念前,也不太在意异步复位与同步复位的差别,
  • 实践中感受亚稳态危害后,
  • 回过头思考复位设计,
  • 发现这个简单又重要的复位电路很有学问

  • 以前的代码里多用异步复位
  • 指复位信号和系统时钟信号的触发可以在任何时刻,二者独立

1.异步复位实例

在这里插入图片描述

  • 图3.5是上面代码综合后的RTL视图,
    • FPGA的寄存器都有一个异步的清零端(CLR),
    • 异步复位设计中,一般接低电平有效的复位信号rstn
    • 即使设计中是高电平复位,
    • 实际综合后也会把异步复位信号反向后接到这个CLR

在这里插入图片描述

发布了597 篇原创文章 · 获赞 301 · 访问量 8万+

猜你喜欢

转载自blog.csdn.net/zhoutianzi12/article/details/105643072
9
今日推荐