独自のデジタルICEDA環境を構築する(3):Centos7はEDA(vcs2018、verdi2018など)のICツールとスクリプトをインストールして最初のプロジェクトを実行します

1.簡単な説明

上記の2つの部分の準備ができたら、IC EDAツールをインストールし、EDA環境を構成し、基本的なシミュレーションスクリプトを作成し、最後にプロジェクトを実行して、EDA環境全体が正常かどうかを確認できます。現在、vcsとverdiのインストールを示しています。他のツールは単独でインストールでき、他のICツールとFPGAのインストールは後で追加されます。

2.準備

1)vmwareツールのインストールを構成する

共有ファイルを正常に使用するには、vmware Toolsをインストールする必要があります。この手順は、インストールするように設定する必要があります。
ここに画像の説明を挿入
ツールを自動的に更新することを選択します。ここで設定されていない場合、仮想マシンを起動した後、[ツールの再インストール]項目はグレー表示されます。
ここに画像の説明を挿入

2)共有ファイルを設定する

ファイルのコピーを容易にするために、共有ファイルを設定する必要があります。後で、インストールパッケージ、プロジェクト、およびその他のファイルを仮想マシンにコピーする必要があります。
ここに画像の説明を挿入
どの場所でも問題ありません私のものはDドライブ上にあります。
ここに画像の説明を挿入

3)VMwareToolsをインストールします

仮想マシンの設定が完了したら、ここで注意してください。ログイン画面で、仮想マシンのメニューバーをクリックする必要があります->ツールVMwareを再インストールします。このオプションにログインすると、グレー表示になる可能性があります。手順1)に従うために使用されたVMwareTools再インストール灰色の問題を解決できます
次に、デスクトップにログインし、CDアイコンをダブルクリックしてデスクトップを開き、ダブルクリックして圧縮パッケージを開き、最後にファイルをデスクトップにドラッグして解凍を完了します。
ここに画像の説明を挿入
ターミナルを開き、解凍したディレクトリを入力し、suコマンドを使用してスーパーユーザーを入力し、次のコマンドを実行してインストールします。インストールプロセス中に確認を求めるメッセージが表示されます。すべてyと入力し、Enterキーを押します。

./vmware-install.pl

ここに画像の説明を挿入
次の情報が印刷されている場合、インストールは成功しています。
ここに画像の説明を挿入

4)ファイルをコピーします

次のファイルをウィンドウシステムの下の仮想共有ディレクトリにコピーします。ファイルは、vcs、verdiおよびその他のICインストールパッケージ、icプロジェクト、vimプラグインです。
ここに画像の説明を挿入
仮想マシンに入り、ターミナルを開き、最初に新しいフォルダを作成します。名前は中国語であってはなりません。次に、新しいフォルダに入り、共有ファイルディレクトリからすべてのファイルをコピーします。具体的な順序は次のとおりです。ファイル比較会議はしばらく待機します。

mkdir 12
cd 12
cp -rf ../../../mnt/hgfs/virtual\ share/* ./

ここに画像の説明を挿入

3.インストール

1)インストーラーをインストールします

synopsysinstaller_v5.0ディレクトリを入力し、最初に.runファイルを実行してから、インストールパスの入力を求めるプロンプトを表示します。デフォルトでは、現在のディレクトリでEnterキーを押します。完了後、生成されたsetup.shファイルを直接実行します。ただし、次のエラーが表示されます。

sudo ./SynopsysInstaller_v5.0.run
./setup.sh

ここに画像の説明を挿入
問題は、依存ライブラリがないことです。まず、このライブラリのインストールパッケージを確認してから、インストールします。インストールプロセス中にプロンプ​​トが表示されます。「y」と入力してEnterキーを押してください。

repoquery --nvr --whatprovides libXss.so.1
sudo yum install libXScrnSaver-1.2.2-6.1.el7

ここに画像の説明を挿入
依存ライブラリがインストールされたら、「。/setup.sh」を再度実行すると、インストールインターフェイスが表示されます。
ここに画像の説明を挿入

2)ICソフトウェアをインストールする

ホームディレクトリに入り、新しいsynopsysフォルダーを作成すると、synopsysソフトウェアがこのディレクトリにインストールされます。ホームは通常のユーザーではなく、スーパーユーザーのアクセス許可が必要であり、ソフトウェアのインストールは通常のユーザーである必要があるため、追加する必要があります。このファイルへのアクセス許可がオンになります。

sudo mkdir synopsys
sudo chmod 777 synopsys

ここに画像の説明を挿入
次に、インストールディレクトリに戻り、「。/setup.sh」を実行してインストールを開始し、[開始
ここに画像の説明を挿入
]をクリックします。デフォルトでは、[次へ]をクリックします。
ここに画像の説明を挿入
ここで、インストールパッケージのパスを選択する必要があります。まず、sclをインストールし、[OK]を選択してクリックします。
ここに画像の説明を挿入
ここで、インストールパスを選択し、上記で作成したsynopsysディレクトリを選択します。
ここに画像の説明を挿入
次に、すべてを選択します。
ここに画像の説明を挿入
インストールが確認され、問題はありません。[次へ
ここに画像の説明を挿入
]をクリックします。[承認してインストール]をクリックし、
ここに画像の説明を挿入
インストールが完了し、[完了]をクリックし、[閉じる]をクリックして完了します。
ここに画像の説明を挿入
他のsynopsysソフトウェアも同じ手順で、順番にインストールします。

4.ライセンスの生成、環境、およびアクティベーション

1)scl_keygenジェネレーターを起動します

これはウィンドウの下で実行する必要があり、ダブルクリックしてscl_keygen.exeを実行します。
ここに画像の説明を挿入
これらの4つの情報を入力する必要があり、ホストIDデーモンとホストID機能を同じに入力します。ポート選択27000。
ここに画像の説明を挿入
仮想マシンに入り、ターミナルを開き、次のコマンドを使用して検索します

hostname
ifconfig

ここに画像の説明を挿入
次のように入力し、[生成]をクリックしてライセンスを生成し
ここに画像の説明を挿入
生成されたライセンスファイル開き、2行目を変更します。これは、仮想マシンのsclパスと一致している必要があります。一致していない場合、ライセンスは無効です。変更後、このファイルを共有ファイルにコピーします。このファイルは、最後の「scl / 2018.06 / admin / license /」ディレクトリに配置する必要があります。これは、環境で設定されたパスと一致している必要があります。
ここに画像の説明を挿入

2)環境設定

.bashrc環境ファイルを開き、独自のインストールパスに対応する次のコンテンツを追加します。ただし、最後から2番目の行に注意する必要があり、ホスト名が正しいかどうかを確認する必要があります。保存して終了し、ソースを設定します。

export DVE_HOME=/home/synopsys/vcs/O-2018.09-SP2
export VCS_HOME=/home/synopsys/vcs/O-2018.09-SP2
export VCS_MX_HOME=/home/synopsys/vcs-mx/O-2018.09-SP2
export LD_LIBRARY_PATH=/home/synopsys/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX64
export VERDI_HOME=/home/synopsys/verdi/Verdi_O-2018.09-SP2
export SCL_HOME=/home/synopsys/scl/2018.06


#dve
PATH=$PATH:$VCS_HOME/gui/dve/bin
alias dve="dve"

#VCS
PATH=$PATH:$VCS_HOME/bin
alias vcs="vcs"

#VERDI
PATH=$PATH:$VERDI_HOME/bin
alias verdi="verdi"

#scl
PATH=$PATH:$SCL_HOME/linux64/bin
export VCS_ARCH_OVERRIDE=linux

#LICENCE
export LM_LICENSE_FILE=[email protected]
alias lmg_synopsys="lmgrd -c /home/synopsys/scl/2018.06/admin/license/Synopsys.dat"

3)アクティブ化

まず、次のコマンドを使用して、開いているポートを設定します。

firewall-cmd --zone=public --add-port=27000/tcp --permanent
firewall-cmd --reload

次に、「lmg_synopsys」と入力してアクティブ化します。仮想マシンを起動するたびにこれを行う必要があります。しかし、依存ライブラリが不足しています。次のコマンドを入力してインストールします。

lmg_synopsys
yum install redhat-lsb.i686

ここに画像の説明を挿入
アクティベーション後、「verdi」と入力してverdiツールを開き、アクティベーションが成功したかどうかを確認しますが、ここにエラーがあります。次のコマンドを使用してインストールし、成功後に「verdi」と入力すると、verdiを開いてアクティベーションを行うことができます。成功しています

sudo yum install libpng12 -y

ここに画像の説明を挿入
ここに画像の説明を挿入

4.テストエンジニアリング

次のコマンドを使用して、ホームディレクトリの12ファイルの下にあるic_pro.tarを解凍し、プロジェクトのシミュレーションディレクトリに入り、gvimでmakeスクリプトを開きます。これがシミュレーションスクリプトです。

tar -xf ./12/ic_pro.tar
cd ic_pro/heart/sim/

ここに画像の説明を挿入

シミュレートするには、次のコマンドを入力します。

make vcs
make verdi

ここに画像の説明を挿入
エラーメッセージがある場合:gcc:コマンドが見つかりません。gccをインストールする必要がありますが、他にも問題があります。一般的に、環境やライセンスに問題がある可能性があり、注意深く確認する必要があります。次のコマンドを使用してgccをインストールします。

yum -y update gcc
yum -y install gcc+ gcc-c++

シミュレーションの効果は次のとおりです。verdiを使用して波形を表示します。このプロジェクトについては、私の記事「七夕のvcs + verdiバージョン」を参照してください
ここに画像の説明を挿入

5.まとめ

IC EDAのインストールが完了しました。このプロセスは、Windowsの下よりもはるかに複雑です。ゆっくりと実行する必要があり、急いで成功する必要はありません。インストール中に問題が発生した場合は、WeChatの公式アカウントから個人的にチャットできます。もちろん、エラーが発生した場合は修正してください。
このICEDA仮想マシンは、私のWeChatパブリックアカウントに従い、バックグラウンドEDA仮想マシンと応答できます。vmwareインストールパッケージ、Centosイメージ、synopsysインストールパッケージ、エンジニアリング、その他のファイルを含むインストールファイル全体が「EDAインストールパッケージ」と応答します。 "バックグラウンドで

私のパブリックアカウントをフォローすることを歓迎します:コアキングダム、より多くのFPGAとデジタルICテクノロジーの共有があり、オープンソースのFPGAプロジェクトを入手することもできます!

ここに画像の説明を挿入

おすすめ

転載: blog.csdn.net/weixin_40377195/article/details/109788338