[4]PowerNet: Maximum Convolutional Neural Network 논문 번역을 통한 전송 가능한 동적 IR 강하 추정

[4]PowerNet: Maximum Convolutional Neural Network 논문 번역을 통한 전송 가능한 동적 IR 강하 추정

요약

IR 드롭은 거의 모든 칩 설계에 필요한 근본적인 제약입니다. 그러나 평가에 오랜 시간이 걸리는 경우가 많아 위반 사항을 수정하기 위한 완화 기술을 방해합니다. 본 연구에서는 PowerNet이라는 CNN(Convolutional Neural Network) 기반 고속 동적 IR 드롭 추정 기법을 개발합니다. 벡터 기반 및 벡터리스 IR 분석을 모두 처리할 수 있습니다. 또한 제안된 CNN 모델은 일반적이며 다양한 설계에 적용할 수 있습니다. 이는 모델이 특정 설계에만 적합한 대부분의 기존 기계 학습(ML) 접근 방식과 대조됩니다. 실험 결과는 벡터가 없는 IR 드롭의 까다로운 경우에 대해 PowerNet이 최첨단 ML 방법을 정확도에서 9% 능가하고 정확한 IR 드롭을 위해 상업적으로 사용 가능한 도구보다 30배 더 빠르다는 것을 보여줍니다. 또한 PowerNet이 안내하는 완화 도구는 전력 그리드에 대한 수정이 매우 제한된 두 산업 설계에서 IR 드롭 핫스팟을 각각 26% 및 31% 줄였습니다.

1. 소개

동적 IR 드롭은 로컬 전력 수요 및 스위칭 패턴으로 인해 발생하는 전원 공급 장치 수준과 사양의 편차입니다. 회로가 타이밍 목표를 충족하고 제대로 작동하려면 회로가 제한되어야 합니다. 따라서 IR 강하가 설계 제약 조건을 충족하는지 확인하고 제약 조건 위반 영역(즉, 핫스팟)을 식별하는 것이 중요합니다. 칩 복잡성이 계속 증가함에 따라 IR 드롭 평가가 점점 더 어려워지고 있습니다.

산업 설계에서 동적 IR 강하 추정치는 일반적으로 정확하지만 시간 소모적인 것으로 알려진 시뮬레이션 기반 상용 도구를 실행하여 얻습니다. 더 빠른 추정을 달성하기 위해 기계 학습(ML) 기반 방법이 탐색되었습니다. 표 1은 이러한 많은 이전 작업을 요약한 것입니다. 이러한 작업은 셀 위치, 타이밍 창, 경로 저항 등과 같은 기능을 통해 각 셀의 동적 IR 강하를 예측하고 감독 기계 학습 기술을 사용하는 방법을 학습합니다.
여기에 이미지 설명 삽입

대부분의 이전 작업의 주요 단점은 "디자인 독립적"이 아니라는 것입니다. "디자인 독립적"은 훈련 데이터 세트에 나타나지 않은 새로운 디자인으로 이전될 수 있음을 의미합니다. 즉, 이러한 이전 작업의 대부분은 서로 다른 디자인마다 새로운 모델을 교육해야 합니다. 일부 작업[1]은 각 셀에 대한 모델을 지정하기도 합니다. 새 레이블로 새 모델을 교육하려면 긴 시뮬레이션 및 교육 시간이 필요하므로 빠른 추정의 원래 목적을 상실합니다. 유일한 예외는 비지도 학습을 기반으로 하며 사전 지식을 학습하지 않는 [2]입니다.

또한 대부분의 이전 기계 학습 방법은 벡터 기반 분석에만 초점을 맞추고 벡터가 없는 IR 드롭은 무시합니다. 동적 IR 강하의 경우 벡터 분석을 사용하지 않거나 벡터 기반 분석을 위한 VCD(값 변경 덤프) 파일의 시뮬레이션 모드를 사용하여 설계의 피크 IR 강하를 분석할 수 있습니다. 벡터리스 IR 강하 분석은 두 가지 주요 이유로 물리적 설계 중 IR 완화에 매우 적합합니다. 첫째, 큰 칩의 경우 벡터 기반 IR 드롭 분석은 넓은 영역을 커버하기 위해 많은 수의 시뮬레이션 패턴이 필요하므로 견딜 수 없을 정도로 느립니다. 둘째, 설계자는 설계 프로세스 초기에 정확한 전력 시뮬레이션 모델에 액세스할 수 없습니다. 대규모 산업 설계의 경우 여러 팀이 서로 다른 RTL 장치에서 병렬로 작업하며 설계 프로세스 전반에 걸쳐 전체 시뮬레이션 스키마가 변경됩니다. 이 경우 벡터리스 IR 드롭은 더 빠르고 빠른 추정치를 제공하지만 스위치 활동 분포의 다양성 증가로 인해 벡터 기반보다 정확한 추정이 더 어렵습니다. 섹션 VE에서 벡터 기반 및 벡터 없는 IR 드롭 분석 간의 정확도 차이를 설명합니다.

당사의 CNN 기반 접근 방식 PowerNet은 벡터 없는 및 벡터 기반 IR 드롭 추정을 위한 전송 가능한 ML 모델을 제공합니다. 벡터 없는 추정의 어려움과 가용성을 고려하여 실험에서 벡터 없는 추정에 더 많은 관심을 기울입니다. PowerNet은 혁신적인 전처리 기능과 CNN 아키텍처를 통해 이러한 문제를 해결합니다. 이전 연구[5]에서는 각 셀의 좌표 및 시간 정보와 같은 디자인 관련 기능을 ML 모델에 직접 입력했습니다. 위치와 시간은 IR 드롭에 직접적으로 기여하지 않기 때문에 이러한 기능을 기반으로 모델을 직접 피팅하면 과적합 문제가 발생하여 보이지 않는 디자인에서 모델이 부정확해질 수 있습니다. 대신 IR 드롭과 연관될 ML 모델에 입력되기 전에 설계 관련 정보가 사전 처리되어야 합니다. IR 강하가 배터리 전력 소모와 직접적인 관련이 있다는 것은 잘 알려져 있습니다. 따라서 PowerNet은 전처리 중에 이러한 설계 관련 기능을 전원 맵에 신중하게 통합합니다. 또한 혁신적인 CNN 아키텍처를 활용하여 가장 큰 과도 IR 강하를 캡처합니다. 우리 작업의 주요 기여는 다음과 같습니다.

  • 벡터 없는 및 벡터 기반 IR 드롭 추정을 모두 대상으로 하는 혁신적인 CNN 접근 방식인 PowerNet을 제안합니다. 이것은 설계 독립적인 빠른 IR 강하 추정을 수행한다고 주장하는 첫 번째 방법입니다.
  • 벡터 없는 및 벡터 기반 IR 강하 추정 실험에서 PowerNet은 테스트한 모든 산업 설계에서 다른 모든 ML 방법보다 성능이 뛰어납니다. 특히 벡터 없는 예측의 경우 PowerNet은 정확도를 9% 향상시킵니다.
  • PowerNet은 정확한 시뮬레이션을 기반으로 상용 IR 낙하 분석 도구보다 30배 더 빠릅니다.
  • PowerNet 안내 IR 강하 완화 도구는 전력 그리드에 대한 수정이 매우 제한된 두 가지 새로운 산업 설계에서 IR 강하 핫스팟을 26% 및 31% 줄였습니다.
  • 두 가지 대표적인 예제를 통해 PowerNet의 작동 원리를 자세히 분석합니다.

2. 문제 공식화

이 작업의 목적은 IR 드롭 핫스팟의 위치를 ​​감지하는 것입니다. 핫스팟은 지정된 임계값보다 큰 IR 강하가 있는 영역입니다. IR 강하를 추정하기 위해 각 디자인은 타일 배열로 모자이크 처리되며 각 타일은 l × ll × l 입니다.×L 스퀘어. 타일 ​​크기lll 솔루션의 세분성을 제어합니다. 따라서 치수는W × HW × H×H 는 W × HW × H로 설계되었습니다×H 행렬 표현, 여기서W = W / l , H = H / l W = W / l, H = H / l=/ ,시간=H / l . 각 타일의 IR 드롭 값은 그 안에 있는 모든 셀의 IR 드롭 값의 평균입니다. 그러면 전체 설계의 IR 드롭은IR ∈ R w × h IR ∈ R^{w×h}나는 R아르 자형w × h . 본 논문에서는 ground-truth IR을 레이블이라고도 한다. 입력 기능의 경우 각 타일은 서로 다른 유형의 전력 소비 값을 계산합니다. 우리는 각각w × hw × h×h 전력 행렬을 전력 맵이라고 합니다. 기본적으로 전력 맵은 전력 밀도의 분포입니다. PowerNet F는 모든 G의 서로 다른 전원 맵 { P map 1 ... P map G P_{map1} ... P_{mapG}를기반으로 시도합니다. 마피 1 _엄마 pG}는 가장 가까운 추정값을 제공합니다. F ∗ F^ ∗에프
여기에 이미지 설명 삽입

3. 알고리즘

A.특징 추출 특징 추출

옴의 법칙에 따르면 전류가 높거나 저항이 높으면 과도한 IR 강하가 발생할 수 있습니다. 최첨단 VLSI 설계에서는 전력 공급 네트워크(PDN)의 그리드가 균일하다고 가정합니다. 즉, 설계 전반에 걸쳐 저항 분포도 상당히 균일합니다. 따라서 PowerNet에서는 각 셀의 저항을 계산하는 데 추가 시간을 소비하지 않도록 선택합니다. 비균일 PDN 설계를 위해 각 셀의 전력 값은 저항에 따라 조정될 수 있습니다. 항력의 효과는 섹션 VD에서 더 자세히 설명합니다. 저항이 일정한 것으로 간주되면 전류는 IR 강하 추정에서 유일하게 중요한 문제가 됩니다. 로컬 전력 소비는 로컬 전류에 정비례하므로 PowerNet은 배터리 전원을 입력 특성으로 사용합니다.

각 단위 c에 대해 가능한 모든 관련 기능을 사용하지 않았기 때문에 모델이 지나치게 복잡하고 과적합되었습니다. 대신 IR 강하 추정에 필요한 정보를 제공하는 것으로 입증된 기능을 선택합니다. 하드 매크로는 포함되지 않습니다. 다음은 모든 기능에 대한 세부 정보와 해당 기능에서 추출한 레이블입니다.

  • 전원: 세 가지 유형의 전원 값이 추출됩니다.

    - 내부 전력(pi)

    - 스위칭 전력(ps)

    - 누설전력(pl)

  • 신호 도착 시간(Signal Arrival Time): 신호가 한 클럭 주기 내에서 셀에 도착하는 최소 및 최대 시간.

- 최소 도착 시간(tmin)

- 최대 도착 시간(tmax)

  • 좌표: 셀을 배치한 후 셀의 위치입니다.

    - 최소 및 최대 x축(xmin, xmax)

    - 최소 및 최대 y축(ymin, ymax)

  • 토글 속도: 주어진 클럭 입력에 비해 출력이 얼마나 자주 변경되는지 설명합니다.

    - 비율(rtog)

  • IR 드롭: 공칭 공급 전압과 각 셀에 도달하는 실제 전압 간의 차이. (이르)

위의 모든 기능은 스칼라 값입니다. 이러한 전원 유형의 경우 내부 전원 pi p_i각 배터리의 내부 커패시턴스에 의해 소비되는 전력을 나타냅니다. 스위칭 전력 ps p_s에스는 배터리 출력에서 ​​부하 커패시턴스에 의해 소비되는 전력이며, 누설 전력 pl p_l내가실험에서 상대적으로 작은 는 의도하지 않은 누출에 의해 소비되며 기능에 기여하지 않습니다. 이러한 기본 전력 유형을 기반으로 각 장치에 대해 더 많은 전력 정보를 생성할 수 있습니다.

[외부 링크 사진 전송 실패, 소스 사이트에 거머리 방지 메커니즘이 있을 수 있으므로 사진을 저장하고 직접 업로드하는 것이 좋습니다(img-ATmR1HiS-1682331652488)(img/1682330554842.png)]

psca p_{sca}스카 _그리고 폴 p_{all}전부 _둘 다 장치에서 소비된 총 전력을 반영하지만 psca p_{sca}스카 _총 전력은 각 장치의 스위칭 속도에 따라 조정됩니다. PowerNet은 이러한 다양한 전력 소비 소스의 총 전력을 결합하는 방법을 학습합니다.

B. 분해 분해 전처리에 의한 전처리

전력이 추출된 후 각 셀에서 볼 수 있는 IR 강하는 자체 셀 전력에 단순히 비례하는 것이 아니라 공간과 시간의 전류 분포로 인해 이웃에 따라 달라집니다. 공간적으로 로컬 전류는 로컬 영역의 모든 셀의 전력 수요의 합에 비례합니다. 따라서 인접 셀의 전력도 셀의 IR 강하를 분석하는 데 도움이 됩니다. 우리는 공간 분해를 통해 셀 에너지를 그리드 블록으로 퍼뜨립니다. 이것은 또한 본질적으로 확장 가능한 2D 패턴을 학습하도록 설계된 PowerNet에서 CNN 모델을 채택하도록 동기를 부여합니다. 공간 정보를 고려하더라도 총 전력 수요가 높은 영역은 여전히 ​​IR 드롭 핫스팟이 아닐 수 있습니다. 이는 해당 영역의 셀이 동시에 전환되지 않을 때 발생합니다. 이 비동기식 스위칭은 전압 강하를 더 큰 타이밍 창에 퍼뜨립니다. 따라서 최대 동적 IR 강하, 즉 최고 과도 전압 강하가 여전히 낮을 수 있습니다. PowerNet은 사전 처리 중에 일시적인 분해로 이 효과를 측정합니다.

알고리즘 1은 전처리 방법을 제시합니다. 세포 정보를 기반으로 에너지 맵을 생성합니다. 각 디자인에 대해 두 가지 유형의 파워 플롯이 생성됩니다. 첫 번째 유형은 { Pi , P s , P sca , P all P_i, P_s, P_{sca}, P_{all} ,에스,스카 _,전부 _}. 공간적으로만 분해되고 시간 정보를 전달하지 않습니다. 두 번째 범주 { P t [ j ] ∈ R w × h ∣ j ∈ [ 1 , N ] P_t[j]∈R^{w×h} | j∈[1,N] [ j ]아르 자형w × hj[ 1 ,N ] }은 공간적 및 시간적 분해를 모두 겪습니다.

여기에 이미지 설명 삽입

그림 1에서 볼 수 있듯이 공간 분해(7행에서 14행)는 셀이 차지하는 그리드 블록이 무엇이든 셀의 전력을 상각합니다. 일반 사각형이 그리드 블록이고 회색 사각형이 셀이라고 가정합니다. P1 ~ P5는 셀 전원입니다. 가장 왼쪽에 강조 표시된 지도의 경우 그 힘은 P1 + P2 + P3 + P4/3 + P5/2입니다. 전력이 P4인 긴 셀은 총 3개의 타일과 겹치기 때문에 강조 표시된 타일의 1/3에만 전력을 제공합니다. 마찬가지로 행 7~14에서 각 셀은 p/s에 기여하며 여기서 s는 겹치는 블록의 수입니다.

여기에 이미지 설명 삽입

15~17행은 시간 분해를 수행합니다. 각 전력 맵 Pt[j]는 시간 인스턴트 j∗t에 해당합니다. j∗t의 각 셀에 대해 j∗t가 신호 도착 시간[tmin, tmax] 사이에 있을 때만 해당 전력 맵 Pt[j]에 전력을 제공합니다. 즉, 그 순간 전환될 가능성이 있는 셀만 고려됩니다. 그림 2는 메커니즘을 보여줍니다. 수직 점선은 1 * t에서 j * t까지의 시간 간격을 나타내고 수평선은 셀의 신호 도달 시간 간격을 나타냅니다. 이 예에서는 Pt[j]에 의해 셀 1과 3만 계산되고 Pt[1]에 의해 셀이 계산되지 않습니다.
여기에 이미지 설명 삽입

다. 파워넷 모델

알고리즘 2는 PowerNet F가 CNN 모델 ff를 사용하는 방법을 보여줍니다.f 처리 능력 맵. 각 훈련 에포크에 대해 훈련 설계의 각 타일(x, y)을 반복합니다. 각 타일에 대해 GETINPUT 함수를 통해 모든 관련 w × h 파워 맵에서 주변 k × k 입력 창을 자릅니다.

[외부 링크 사진 전송 실패, 소스 사이트에 거머리 방지 메커니즘이 있을 수 있으므로 사진을 저장하고 직접 업로드하는 것이 좋습니다(img-aJWqc32n-1682331652490)(img/1682331413955.png)]

여기에 이미지 설명 삽입

11~12행과 그림 3에서 보는 바와 같이 N개의 모든 시간 분해 전력 그래프에 대해 { P t [ j ] ∈ R w × h ∣ j ∈ [ 1 , N ] Pt[j]∈R^{w ×h } |j∈[1,N] 백점 [ j ]아르 자형w × hj[ 1 ,N ] }, 다른 모든 공통 전력 다이어그램Pi , P s , P sca , P all P_i, P_s, P_{sca}, P_{all},에스,스카 _,전부 _함께, 동일한 CNN 모델에 의해 별도로 처리됩니다. 따라서 라인 2에서 CNN에 대한 입력은 { Pi , P s , P sca , P all , P t [ j ] P_i, P_s, P_{sca}, P_{all}, P_t[j] ,에스,스카 _,전부 _,[ j ] }. 총 N개의 CNN 출력이 있습니다 { oj ∣ j ∈ [ 1 , N ] o_j | j∈[1,N] 영형jj[ 1 ,N ] }. 그러면 최대 출력 omax = Max({ oj ∣ j ∈ [ 1 , N ] o_j | j∈[1,N] 영형jj[ 1 ,N ] })은 분석된 타일의 예측 결과입니다. 이 최대 구조는 최대 IR 강하로 이어지는 유일한 순간을 강조합니다. 이 패턴을 배우도록 CNN을 안내합니다.
여기에 이미지 설명 삽입

PowerNet의 CNN 모델에 대한 세부 정보는 그림 4에 나와 있습니다. 4개의 컨볼루션 레이어, 2개의 풀링 레이어 및 2개의 완전 연결 레이어가 있습니다. 컨볼루션 커널의 크기는 괄호 안에 표시됩니다. 각 텐서 아래의 C는 각 컨볼루션 레이어에 정의된 코어 수를 제공합니다. 이 CNN 구조와 N, k와 같은 하이퍼파라미터는 교차 검증 중 성능에 따라 조정됩니다. 더 큰 입력 k, 더 많은 레이어 또는 커널을 선택하면 모델 일반화가 줄어들고 예측 속도가 느려지는 반면 더 간단한 구조는 데이터에 적합하지 않습니다. 배치 정규화(BN)[6]는 모델 수렴을 가속화하는 데 사용됩니다. 최적화를 위해 Adam 방법[7]이 사용됩니다. 예측과 레이블 사이의 평균 절대 오차(L1 손실)를 손실 함수로 채택합니다.

Supongo que te gusta

Origin blog.csdn.net/weixin_42754727/article/details/130349051
Recomendado
Clasificación