摩尔状态机和米利状态机的区别

根据状态机的输出是否与输入条件相关来区分Moore状态机和Mealy状态机。

Moore状态机:输出仅仅与当前状态有关;

如下实例,如三段式写法来写的一个序列检测的状态机(【 FPGA 】序列检测器的Moore状态机实现),状态机最后的输出部分:

always @*
begin
    if(current_state == s4) dout = 1;
    else dout = 0;
end

由此可见,Moore状态机仅仅和当前状态有关。

也可以看状态转移图判断:

在这里插入图片描述

Mealy状态机:输出不仅取决于当前状态,还和输入有关;

同样,如下序列检测的例子:【 FPGA 】序列检测器的Mealy状态机实现

同样是三段式描述,状态机的输出部分为:

always @ *
begin
	if(reset) dout = 1'b0;
	else if( (current_state == s3)&&(din == 1'b1) ) dout = 1'b1;
	else dout = 1'b0;

end

同样,也可以通过状态转移图判断:

在这里插入图片描述

可见,输出不仅和当前状态和输入都有关系。

最后,Moore状态机和Mealy状态机可以相互转换。上述两个状态转移图实际上实现的是同一个功能,就是检测序列1101.

发布了36 篇原创文章 · 获赞 5 · 访问量 2372

猜你喜欢

转载自blog.csdn.net/qq_36248682/article/details/105595799